Friday 10 August 2018

Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Utilization

Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Utilization
Bhavana Pote1,V. N. Nitnaware2,and Dr. S. S. Limaye3
1Department of Electronics, Ramdeobaba Kamla Nehru College of Engg, Nagpur, India
2Department of EDT, Ramdeobaba Kamla Nehru College of Engg, Nagpur, India
3Jhulelal Institute of Technology, Nagpur, India 

Abstract:

With the shrinking technology, reduced scale and power-hungry chip IO leads to System on Chip. The design of SOC using traditional standard bus scheme encounters with issues like non-uniform delay and routing problems. Crossbars could scale better when compared to buses but tend to become huge with increasing number of nodes. NOC has become the design paradigm for SOC design for its highly regularized interconnect structure, good scalability and linear design effort. The main components of an NoC topology are the network adapters, routing nodes, and network interconnect links. This paper mainly deals with the implementation of full custom SRAM based arrays over D FF based register arrays in the design of input module of routing node in 2D mesh NOC topology. The custom SRAM blocks replace D FF(D flip flop) memory implementations to optimize area and power of the input block. Full custom design of SRAMs has been carried out by MILKYWAY, while physical implementation of the input module with SRAMs has been carried out by IC Compiler of SYNOPSYS.The improved design occupies approximately  30% of the area of the original design. This is in conformity to the ratio of the area of an SRAM cell to the area of a D flip flop, which is approximately 6:28.The power consumption is almost halved to 1.5 mW. Maximum operating frequency is improved from 50 MHz to 200 MHz. It is intended to study and quantify the behavior of the single packet array design in relation to the multiple packet array design. Intuitively, a common packet buffer would result in better utilization of available buffer space. This in turn would translate into lower delays in transmission. A MATLAB model is used to show quantitatively how performance is improved in a common packet array design.

Keywords : 

2D mesh, virtual output queuing, HOL blocking, FIFO, DDC file, GDS format. 


No comments:

Post a Comment